Learning VHDL: Tutorials and Resources

In summary,The conversation is about a new user in VHDL looking for resources to improve their skills in the language. They discuss books and online tutorials as well as the differences between VHDL and traditional programming. They also mention the importance of hands-on practice and provide suggestions for tools and learning methods. Additionally, they mention the flexibility and options available with FPGAs.
  • #1
soul
62
0
Hi eveyone,

I am a new user in VHDL and need more practice, and knowledge about both its syntax and logic. When I googled it I could not manage to find useful and comprehensive tutorials. Could you suggest any website or book?

Thanks for your help.
 
Engineering news on Phys.org
  • #2
Hey, first of all are you a university student or are you thinking of learning VHDL in your spare time? The notes my lectures gave me at my university and the lab sessions we had with VHDL were how I developed my skills in it, so if you are a student I would suggest asking your lecturer ? If not, then a book that I enjoyed reading about VHDL was "Introductory VHDL from Simulation to Synthesis" , but I would just go to a local library and maybe take out a couple of books to get you started.
 
  • #3
rusty009 said:
Hey, first of all are you a university student or are you thinking of learning VHDL in your spare time? The notes my lectures gave me at my university and the lab sessions we had with VHDL were how I developed my skills in it, so if you are a student I would suggest asking your lecturer ? If not, then a book that I enjoyed reading about VHDL was "Introductory VHDL from Simulation to Synthesis" , but I would just go to a local library and maybe take out a couple of books to get you started.

Well, actually both cases are true. I will take the course about VHDL in the next fall semester but my schedule will be so intensive. Therefore, I am planning to learn something throughout the summer and I have opportunity to reach necessary equipments for digital design implementation(chips, FPGA etc.).

The only thing I need is assignments instead of sources that full with theoretical stuff. BTW, I have that book as well and as you said it is pretty useful.:smile:
 
  • #4
You should realize VHDL is a tool to allow you to implement your digital design, that you've made. It's not programming.

If you want exercises, you should be able to think of digital circuits that can perform a certain fuction (perhaps something you've made before), and try to implement it on a FPGA.
 
  • #5
soul said:
Well, actually both cases are true. I will take the course about VHDL in the next fall semester but my schedule will be so intensive. Therefore, I am planning to learn something throughout the summer and I have opportunity to reach necessary equipments for digital design implementation(chips, FPGA etc.).

The only thing I need is assignments instead of sources that full with theoretical stuff. BTW, I have that book as well and as you said it is pretty useful.:smile:



This is a great idea I do this every summer but just remember with programming reading the book alone is not going to help you have to do it so invest in a board and come up with a fun project that will help you learn and do it!
 
  • #6
As useful reading I'd like also to suggest this book:

"VHDL", Third edition, Douglas Perry
ISBN: 0-07-049436-3
McGraw-Hill

may be there is a new edition, I do't know, make a check for this.

Cheers
Grinch
 
  • #7
Hi

Is http://www.pldesignline.com/showArticle.jhtml?articleID=207501380" reference of any help?

KM
 
Last edited by a moderator:
  • #8
HD555 said:
... It's not programming.

Say your task is to read the raw bitmap data from a CCD and store a JPEG format image onto a memory card. One could accomplish this task by writing C code for a microprocessor. One could also accomplish this task by writing VHDL code for an FPGA or an ASIC.

I'm curious why you say VHDL is not programming?
 
  • #9
I agree, it's difficult to find any help on this language online.

I did, however, find a forum once. I'll link it.

http://www.velocityreviews.com/forums/f18-complangvhdl.html" [Broken]
 
Last edited by a moderator:
  • #10
It is programming, just a different methodology, and it is very hardware oriented in design. When I use it, I am thinking in and out of low level hardware and higher level sequential instructions. You will use both synchronous/asynchronous and sequential/parallel processes in bigger VHDL projects, and this is usually the big difference from standard programming. You get to choose what processes are dependent of each other. They are even make tools that allow you to program C code, and they translate it into specialized VHDL that executes your C code more efficiently (basically creating customized instruction sets). You can insert soft-CPUs onto a FPGA as well.

Best way to learn is to go over a lot of simple examples. You will see what stays the same for most of your files, and what you actually program to create what you want. You can also work around programming by using tools that let you place symbols instead of code. You can make large digital systems out of a lot of small schematic blocks or VHDL code files.

One other thing to consider beyond VHDL is all of the options FPGAs give you, especially in architecture and hardware design. Many chips are very flexible with clock settings, and you can configure the chips for different logic levels on certain pins, so play around in your development environment besides just writing VHDL code because knowing how to use it will give you a lot more time to concentrate on the actual design and coding.

If you want some examples or some practice projects, I could give you some I've saved from courses over msn or email. I also suggest the book Digital Design Essentials by Sandige, as it treats VHDL as an extension of your basic digital design, rather than a lot of syntax and theory.
 
  • #11
Please excuse me if this is a bit belated, but http://www.seas.upenn.edu/~ese201/vhdl/vhdl_primer.html" [Broken] is a site you might look at.

KM
 
Last edited by a moderator:
  • #12
Dear all,
I've also started in learing VHDL, at first I've do some simulation of vhdl code by using Modelsim, after that I've do the synthesis stage by means of synplify (with this tool you can also look at the RTL view to see the equivalent gate level transposition of your VHDL code), after that you can go straigth with the implementation by using mapping tool of the specific target device. Anyway Modelsim is a very good tool to start and to better understand the circuit behaviour trough code simulation (you can also put breakpoint and watch variable like traditional programming).
At now I've also a very useful book:

"RTL Hardware Design Using VHDL", Pong P.Chu
A JOHN WlLEY & SONS, INC., PUBLICATION, (C) 2006

I've found this very useful and well explained.

Cheers
Grinch
 

1. What is VHDL?

VHDL (VHSIC Hardware Description Language) is a programming language used for describing the behavior of digital circuits and systems. It is commonly used in the field of electronic design automation (EDA) to model and simulate hardware designs before they are physically implemented.

2. Why is learning VHDL important?

Learning VHDL is important because it allows engineers and designers to describe and test complex digital systems before they are built. This can save time and resources in the design process and help ensure that the final product functions as intended.

3. What are the benefits of using VHDL?

One of the main benefits of using VHDL is its ability to model and simulate complex digital systems, allowing for easier debugging and design verification. It also supports hierarchical design, allowing for efficient organization and reuse of code. Additionally, VHDL is a standardized language, making it easier to collaborate and share designs with others.

4. What are some resources for learning VHDL?

There are many resources available for learning VHDL, including online tutorials, textbooks, and video courses. Some popular websites for VHDL tutorials and resources include VHDLwhiz, All About FPGA, and VHDL Café. Additionally, many universities offer courses in VHDL as part of their electrical engineering or computer science programs.

5. Is VHDL difficult to learn?

Like any programming language, VHDL may have a learning curve for beginners. However, with dedication and practice, it can be learned by anyone with a background in digital design and programming. Many resources are available to help make the learning process easier and more enjoyable.

Similar threads

  • Electrical Engineering
Replies
4
Views
1K
Replies
40
Views
2K
  • Electrical Engineering
Replies
3
Views
1K
  • MATLAB, Maple, Mathematica, LaTeX
Replies
11
Views
1K
  • Science and Math Textbooks
Replies
7
Views
1K
  • Electrical Engineering
2
Replies
36
Views
769
  • Science and Math Textbooks
Replies
28
Views
3K
  • STEM Academic Advising
Replies
3
Views
1K
Replies
4
Views
777
  • Programming and Computer Science
Replies
4
Views
1K
Back
Top