What is Fpga: Definition and 27 Discussions

A field-programmable gate array (FPGA) is an integrated circuit designed to be configured by a customer or a designer after manufacturing – hence the term "field-programmable". The FPGA configuration is generally specified using a hardware description language (HDL), similar to that used for an application-specific integrated circuit (ASIC). Circuit diagrams were previously used to specify the configuration, but this is increasingly rare due to the advent of electronic design automation tools.

FPGAs contain an array of programmable logic blocks, and a hierarchy of "reconfigurable interconnects" allowing blocks to be "wired together", like many logic gates that can be inter-wired in different configurations. Logic blocks can be configured to perform complex combinational functions, or merely simple logic gates like AND and XOR. In most FPGAs, logic blocks also include memory elements, which may be simple flip-flops or more complete blocks of memory. Many FPGAs can be reprogrammed to implement different logic functions, allowing flexible reconfigurable computing as performed in computer software.
FPGAs have a remarkable role in embedded system development due to their capability to start system software (SW) development simultaneously with hardware (HW),
enable system performance simulations at a very early phase of the development, and allow various system partitioning (SW and HW) trials and iterations before final freezing of the system architecture.

View More On Wikipedia.org
  1. S

    Synthetic Intelligence (bio+silicon) system circuitry design

    can someone help me with this circuitry? I am not an electrical engineer I'm working on a brain/organoid computer interface system, and am trying to find out if the modules in the design will connect properly to eachother, and within the system. the system will be using I2S how do we connect...
  2. core7916

    Why is my FPGA not working correctly when programmed with a POF file?

    Hello, i am programming my fpga with certain logic. With the sof file the logic is working fine and correct. but when i am programming pof file i am getting error. (programming is successful, but doesn't work as per the logic).
  3. Stonestreecty

    Recovering Lost Bitcoins: FPGA vs GPU Performance Comparison

    Hello all, I have a question regarding FPGA performance vs GPU (I've reviewed it before). I’m trying to recover lost bitcoins that I mined in the early days. I knew it was important to keep the private key but in the end I somehow managed to lose my private key but I still have 24 out of 32...
  4. mesa

    Looking for a good source to find FPGA developers for ADC PCB spinouts

    Summary:: I am trying to find some good folks with knowledge in FOGA coding for some ADC boards our EEs are spinning out, but am having terrible luck so far... I am trying to find some good folks with knowledge in FPGA coding for some ADC boards our EEs are spinning out for pulse heigh...
  5. garthenar

    Fixing Error: (vlog-7) Failed to Open Design Unit File

    Homework Statement:: None. This is part of implementing a project and not an actual question. It's a technical problem or equipment failure. Relevant Equations:: The Code I get is; Error: (vlog-7) Failed to open design unit file "Waveform.vwf.vt" in read mode. [Mentor Note -- moved to the...
  6. Abimbola1987

    FPGA/CPLD advice appreciated

    Dear Sirs, I'm using Microchip PIC's as programmable laboratory timer among other things, but the 100ns instruction cycles are not adequate anymore. So I thought of beefing it up with a 5M40Z MAX-V CPLD which has 5ns end-to-end delay, bought the programmer and downloaded the Quartus Prime Lite...
  7. kostoglotov

    Holiday project goals for undergrads with an FPGA?

    It's a student project for vacation research, under-grad, not sure how many of us, there'll probably be 4-6, we're motivated. My original proposal was to get an FPGA (on an Artix-7 or Z-board) to run a CID camera sensor as a dumb peripheral, do some basic image processing stuff on it (perhaps...
  8. R

    Labview FPGA control AD9854 help

    I am trying to write a Labview program to control two AD9854's which will generate the signal for AODs in an optical trapping setup. Unfortunately I'm not an EE and I don't have much experience with Labview so I was wondering if someone already had the VI's to do this with Labview FPGA. We have...
  9. Mr.Robot

    Interfacing 8 Bit ALU on LCD ( fpga kit )

    I have the code of 8 bit ALU and code of lcd controller mounted on spartan 3E fpga kit. but i am unable to sync the program. Please help me with it! Thank you!
  10. J

    Model an FPGA FM Radio Demodulator in Python

    I am trying to model an FPGA FM radio demodulator in Python. I will have a mixer that converts an FM channel to 36MHz IF, and I plan on undersampling this IF signal. If in the digital world (my Python model), I have a high sample rate FM signal at 1.2MHz, should I be able to resample to a...
  11. B

    FPGA Reconfiguration using 2 processors.

    Hello, I've been working on the topic since 8 months and different types of reconfiguration using single soft core is done. I need some help on the procedure as well as theory about using 2 cores. On the FPGA board that i use, there is PPC hardcore and microblaze softcore.
  12. T

    RC circuit, discharge with digital signal from FPGA

    Hi all, my task is to measure the charge time of an RC circuit with a counter using FPGA, once the cap is charged, i must discharge it again for next input. Idea is to discharge it with a signal from FPGA, but what sort of circuit would allow this without discharging the cap prematurely...
  13. O

    FPGA speeds and recommendations

    I don't know much about FPGA's but I think I need one for a pet project. I had a few questions for any Verilog pros. Do they make them fast enough to process bytes at 48 MHz (DSP basically). I'm sure they do make them somewhere but can you get one cheap? Can you load a VHDL program to an...
  14. P

    FPGA or control systems class? Please help.

    Hello folks, I am having hard time to decide which class to take in my senior year. I have two choices: Embedded Systems with primary focus on FPGA and VHDL and another class is Control Systems design. I like both of them, but the problem is that they are offered almost at the same time, so I...
  15. M

    FPGA or Microcontroller for Robot Project?

    Hi I'm currently doing a design project for my engineering class, and we have to select either an FPGA and use VHDL or a microcontroller. The project entails following a line, and using IR communication to change the colors of LEDs. I know that FPGAs might be better because you can do...
  16. E

    FPGA Troubleshooting: Spartan 3E-1600 MicroBlaze Dev Board

    I've recently purchased an FPGA development board from Digilent, particularly the Spartan 3E-1600 MicroBlaze development board. Back in school, we did some very basic VHDL development with Cypress WARP but ran as simulations. I wanted to expand on that and learn something that the industry...
  17. D

    Working with an FPGA to Count Coidncident Pulses

    I am currently trying to program an FPGA to mimic a coincidence counting module. What I basically need it to do is a modified AND operation. The inputs are square, 5V pulses from photon counters. Because I am working with two photon counters (that are the same model) but due to imperfections in...
  18. D

    Analog Front End or ADC with FPGA

    Let me preface this by saying I am very new to FPGA's. I am trying to find a way to sample 3 channels simultaneously at a resolution of 16 bits per channel and at a rate of at least 600KSPS per channel and then 2 channels at a resolution of 16 bits at a rate of at least 200KSPS. I've decided...
  19. F

    Robotics Project: Interfacing LCD Screen to FPGA

    Hello, I'm working on a robotics project with one of my friends for an (relatively small) competition at my school. Anyways part of our project involves interfacing an LCD screen to an FPGA however we're having a problem with that particular aspect of the design. The clock signal on our...
  20. S

    Desigining A Microcontroller On Fpga using Verilog Hdl

    Hi to all. I am into my last semester of graduation, i have assigned a project "Design of a micro controller using verilog in a fpga" i am studding the following books for help. Advanced_Digital_Design_with_the_Verilog_HDL-M.D.Ciletti and Verilog HDL (2nd Edition) by Samir Palnitkar i...
  21. D

    Exploring Complex 10-Week FPGA Projects

    Any ideas for 10 week FPGA project?? I am looking for something on complexity of FM radio receiver , MPEG decoder etc.
  22. H

    Flexible Sensor to FPGA interface board?

    I currently have two custom PCBs that perform a variety of functions on signals to and from different sensors, including quite a bit of buffering. The PCBs are between the sensors and a PC104 SBC. I want to take the design to a more functional level by implementing as much of those two PCBs on...
  23. B

    FPGA VHDL tristate buffer for ram inout signal

    First off, this is not school related as my semester just ended and I've just been playing around with my fpga. My problem is that I'm trying to write to the ram module then read from it. I understand a little of the tristate buffer and inout signal but we didn't really go over this in class...
  24. L

    G: Adding a PROM to Spartan-II FPGA

    Hi all, I'm new to the forum and would like to say hi to everyone. I'm currently working on a personal project that is as follow: I have a spartan-II FPGA that's already placed down on to a board by Digilent. This board does not come with a configuration prom. My goal is to add a prom to it. I...
  25. J

    Microcontroller, FPGA or CPLD?

    Hello All, I'm still working on the ultimate programmable precision pulse control circuit for my experiments. Most of the stuff I have made so far has used discrete components and analog parts to do the job but I'm ready to start looking into some of the more advanced approaches. As the...
  26. E

    Ideas for a Project Using an ASIC vs FPGA

    Hello, I am looking for any ideas for a project where an ASIC would be used over a FPGA and something that cannot be done with microcontroller as much. Not tooo complicated but something that could be accomplished within 2 months or so... so not tooo simple either... i guess... Thanks in advance.
  27. A

    FPGA Programming: Mechanism for Setting Transistors

    What is the mechanism used for programming FPGAs? Is it the same as for EPROMs? I know (although not sure this is correct either) that in EPROMs, for setting a transistor to "1" a control gate is used which is placed in the oxide layer of the MOS transistor and by applying a voltage between...
Back
Top