Recent content by michael_mke

  1. M

    Full Adder using a 3-to-8 Decoder in VHDL

    I need to design a full adder using a 3-to-8 decoder. I have the code for the 3-to-8 decoder but don't know how to use it as a full adder. Please help. Thanks //3-to-8 Decoder library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity Decoder is port (...
  2. M

    How to Design a T Flip-Flop Counter in VHDL?

    I have to design a counter using T flip-flops to count in the sequence 000, 100, 111, 010, 011, 000,...(repeat). I think I got the code for the T flip-flop but not really sure and need some help implementing the counter with this. Any help would be great. Thanks //T flip-flop library...
  3. M

    Drag Race Christmas Tree Design

    Does anyone have a clue on how to do this? I really would appreciate the help. Thanks
  4. M

    Drag Race Christmas Tree Design

    1. Homework Statement Question Details: A "Christmas Tree lighting system is used to start a drag race. The inputs to the system include a start button, a reset button, and two start line sensors. The outputs include lines for Red, Yellow, and Green lights and two Fault lights. When the...
Back
Top