Recent content by hong de

  1. H

    How can I fix VHDL errors related to declaration and array types?

    Need help with my lab! Question: 8 inputs are used to represent 2 digits from 00 to 99. Show the value on the 7-segment displays and the Led1 and Led2 will light up when the values are “20” and “40” respectively. My answer: library ieee; use ieee.std_logic_1164.all; entity miniproject is...
  2. H

    How can I fix VHDL errors related to declaration and array types?

    The programme I want to do is using 8 inputs which represent 2 digits from 00 -99. Using 7- segments displays and Led1 and Led2 will light up when the values are "20" and "40". Hope you can help me.
  3. H

    How can I fix VHDL errors related to declaration and array types?

    library ieee; use ieee.std_logic_1164.all; entity miniproject is port (dg1, dg2 : in std_logic_vector (3 downto 0); led1, led2 : out std_logic_vector ( 6 downto 0); output :out std_logic(6 downto 0)); end miniproject; architecture arc of miniproject is begin with dg1 select led1 <=...
Back
Top