Recent content by parasgupta6

  1. P

    How to Implement Error Handling in a VHDL T Flip-Flop Design?

    i hav done dis much...but der are too many errors...pleasez remove the errors and post d correct answer library ieee; use ieee.std_logic_1164.all; entity pbl is generic ( n: integer; delay: time ); port ( t,clk : in std_logic; q : out std_logic); end pbl; architecture tff of...
  2. P

    How to Implement Error Handling in a VHDL T Flip-Flop Design?

    I am not asking you to do my homework. I am facing problems with it. I know the T Flip Flop design. tried using assert with it, but didn't help. i have no idea about identification no. and part (b)
  3. P

    How to Implement Error Handling in a VHDL T Flip-Flop Design?

    Problem Statement: (a) Write a T-flip-flop description with a clock (clk) and a t input. Toggling is done on the rising edge of (clk) when t is '1'. Include a generic parameter for the flip-flop delay, one for minimum pulse width on t, and one for the flip-flop identification number. The...
Back
Top