Vhdl Temperature simulation help

In summary, the conversation is about someone seeking help with setting up a comparing component in VHDL. They share their code and mention that the simulation is not working properly. Another person joins the conversation and shares their own code for a synchronous 8-bit up/down counter, asking for assistance in fixing it.
  • #1
keith03
31
0
Vhdl help!

Please help...This is driving me crazy. I am just trying to setup a simple comparing component. The code compiles fine, but the simulation is WAAAAAYY off. could somebody please check this? Thanks

--Temprature high or low
--
library ieee;
use ieee.std_logic_1164.all;
entity thermostat is
port
(
data_in_mux : in std_logic_vector (3 downto 0);
set_main : in std_logic_vector (3 downto 0);
data_out : out std_logic_vector (1 downto 0)
);
end thermostat;
--
architecture behave of thermostat is
begin
process (set_main, data_in_mux)
begin

if (set_main < data_in_mux) then
data_out <= "00";
elsif (set_main > data_in_mux) then
data_out <= "11";
else data_out <= "01";

end if;
end process;
end behave;
 
Engineering news on Phys.org
  • #2


I figured it out, the code was fine, but the simulation tool was not appropriatly set.
 
  • #3


hello everyone!
i have to do a sychronous 8-bit up/down counter.
to the momment i am here. My entity is right.
As for the up/down control, we have the inputs up and down,
and If up = 1 it counts up, if down =1 it counts down and if both of them are 1 it does nothing.

can anyone help?

this is what i have done so far.

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

entity up_down_counter is
port (
cout :eek:ut std_logic_vector (7 downto 0);
data :eek:ut std_logic_vector (7 downto 0);
up,down :in std_logic; -- up_down control for counter
clk :in std_logic; -- Input clock
reset :in std_logic -- Input reset
);
end entity;

architecture rtl of up_down_counter is

signal count :std_logic_vector (7 downto 0);
begin
process (clk, reset) begin
if (reset = '1') then
count <= (others=>'0');
elsif (rising_edge(clk)) then
if (up XOR down = '1') then
if(up = '1') then
count <= count + 1;
else
count <= count - 1;
end if;
end if;
end if;
end process;
cout <= count;
end architecture;
 

1. What is VHDL and how is it used in temperature simulation?

VHDL (Very High-Speed Integrated Circuit Hardware Description Language) is a programming language used for designing and simulating digital systems. In temperature simulation, VHDL can be used to model and simulate the behavior of temperature sensors and control systems.

2. How is temperature data represented in VHDL?

In VHDL, temperature data is typically represented using a numeric value, such as an integer or floating-point number. This value can then be converted to a temperature scale, such as Celsius or Fahrenheit, using mathematical equations or lookup tables.

3. Can VHDL simulate the effects of temperature on electronic components?

Yes, VHDL can simulate the effects of temperature on electronic components. By modeling the behavior of a component at different temperature ranges and incorporating this into a larger system simulation, the effects of temperature can be accurately predicted.

4. What are some common challenges in VHDL temperature simulation?

Some common challenges in VHDL temperature simulation include accurately modeling the temperature behavior of complex electronic components, accounting for thermal effects in the overall system design, and ensuring the simulation results are accurate and reliable.

5. Are there any tools or resources available to aid in VHDL temperature simulation?

Yes, there are various tools and resources available to aid in VHDL temperature simulation, such as simulation software, temperature sensor models, and online forums or communities for sharing knowledge and troubleshooting issues.

Similar threads

  • General Engineering
Replies
3
Views
3K
  • Engineering and Comp Sci Homework Help
Replies
4
Views
5K
  • Engineering and Comp Sci Homework Help
Replies
1
Views
8K
  • Electrical Engineering
Replies
6
Views
2K
  • Engineering and Comp Sci Homework Help
Replies
2
Views
2K
  • Engineering and Comp Sci Homework Help
Replies
1
Views
2K
  • Engineering and Comp Sci Homework Help
Replies
1
Views
2K
  • Engineering and Comp Sci Homework Help
Replies
1
Views
11K
  • Engineering and Comp Sci Homework Help
Replies
1
Views
6K
  • Engineering and Comp Sci Homework Help
Replies
2
Views
11K
Back
Top