- #1
Molecular
- 29
- 0
Hey guys, I've recently started poking around with verilog code and I've made a few programs now and I really want to get a .vcd file or something similar out of my programs so that I can use gtkwave to analyze the program flow.
The thing is, no matter what I do, no .vcd file is generated anywhere. As far as my understanding there are two ways I can do this, by either
a) Adding
initial begin
$dumpfile("file.vcd");
$dumpvars(0);
end
in my code, something which first gave a bunch of errors and suddenly the errors stopped, but no .vcd file was generated, or
b) running the vvp file like so:
$ vvp testbenchfile -vcd
which again, gave me no vcd file to run with gtkwave.
At one point I even found some guys code on the internet and copypasted it to make sure I wasn't doing something wrong, but this didn't give me any vcd file either even though it appearantly did for him. I'm using icarus iverilog, if that makes any difference. Any help would be greatly appreciated, as I have nobody else to ask.
The thing is, no matter what I do, no .vcd file is generated anywhere. As far as my understanding there are two ways I can do this, by either
a) Adding
initial begin
$dumpfile("file.vcd");
$dumpvars(0);
end
in my code, something which first gave a bunch of errors and suddenly the errors stopped, but no .vcd file was generated, or
b) running the vvp file like so:
$ vvp testbenchfile -vcd
which again, gave me no vcd file to run with gtkwave.
At one point I even found some guys code on the internet and copypasted it to make sure I wasn't doing something wrong, but this didn't give me any vcd file either even though it appearantly did for him. I'm using icarus iverilog, if that makes any difference. Any help would be greatly appreciated, as I have nobody else to ask.