Can I Use a Frequency Synthesizer to Create a 28.8MHz Clock Signal?

In summary, you can synthesize a 28.8 MHz clock signal with a TI SN74LS624N oscillator chip or an EBay supplier. You can also use a Cypress oscillator IC, but it is more expensive.
  • #1
Tom48
37
0
hi,

i need a 28.8MHz clock signal (digital/square wave).
Unfortunately this specific frequency is hard to get so i need to make one myself.
I guess the keyword here is "frequency synthesizer"?
Or how would you approach this?
(to get the 28.8MHz clock signal out of other more commonly available frequency oszillators)
If you could share certain ICs you would recommend for this issue that would be great! :)

thank you!
 
Engineering news on Phys.org
  • #2
The answer will depend on how much phase noise you can tolerate.
Do you need exactly 28.8MHz or will something close be OK?
What is your application?
What frequency clocks are available now to use for synthesis or locking of the 28.8MHz.
 
Last edited:
  • #3
Tom48 said:
I guess the keyword here is "frequency synthesizer"?
Yes it is. Have you googled that?

I did and immediately got a hit on the TI SN74LS624N which you could use to give you half that frequency and then follow it with a one-shot to double it. No statement on the accuracy though.

EDIT: single one-shot follower wont' work. You'll need two of them then an OR gate.
 
  • #4
First, thanks a lot for your answers!

It should be pretty close to 28.8MHz (TCXO/temperature compensated would be good in order to keep the frequency drift lower).
(28.75 to 28.85MHZ is ok for sure)
A low quality crystal on a SDR (software defined radio) stick shall be replaced.

So i could take a 14.4MHz TCXO and double the frequency?
Do you know an IC that can just double my frequency?

I already did some research but I am quite unsure yet (there are way too many kind of ICs [dividers,clock synthesizers,multiplier, buffers etc]) so it would really help a lot if you could show me the right path by some example ICs maybe? :)

@phinds: I checked the TI SN74LS624N, so i can halve my frequency with your IC, so is there also one i can multiply my frequency by 2?
Im also quite unsure how i would use the TI SN74LS624N right yet, on what ports should i provide the clock signal and on what port i then could expect the halved output signal? Does it halve the signal by default or do i have to apply certain settings on a certain port?

Sorry for all the questions, i hope i can clear some out with your help :)
 
  • #5
I don't know the details, I just Googled the relevant phrase and got that chip. Put two one-shots on the output, one with an inverter in front, and then OR the outputs of the one-shots.
 
  • #7
  • #8
The United States website of EBay lists about 10 suppliers of 28.8 MHz crystal oscillators.

Many of these are high quality units ( eg 0.5 parts per million accuracy) with prices to match. You get what you pay for. :-)

There is one supplier who has ceramic resonators at a much lower price, but these are fairly unstable.

Most crystal manufacturers would probably quote you a price for making you one to order.
 
  • Like
Likes berkeman
  • #9
ok thank you all for all your replies!
I didnt know there also were programmable oscillators available, so thanks for that hint!

So i guess i just could grab one of these, e.g.: http://www.mouser.de/ProductDetail/Microchip-Technology-Micrel/DSC8001BI2/?qs=sGAEpiMZZMuOKVxQlXmfz2joUnuME8vyBrzHzq0PCJAZq5JymFKMOA%3d%3d

I suppose after i bought the parts i will be asked to provide a value for the oscillators frequency (so i could tell them 28.8MHz).
Seems like a pretty easy solution?
So i wouldn't need to build circuits that divide/multiply my clock signals...
 
Last edited by a moderator:
  • #10
Tom48 said:
I didnt know there also were programmable oscillators available, so thanks for that hint!
Yes, they are very handy. You just specify the frequency when you make your purchase from Mouser or Digikey. :smile:
 

Related to Can I Use a Frequency Synthesizer to Create a 28.8MHz Clock Signal?

1. What is a divide/multiply clock signal?

A divide/multiply clock signal is a type of electronic signal that is used to either increase or decrease the frequency of a clock signal. This is done by dividing the original clock signal into smaller, more frequent signals (dividing) or combining multiple clock signals into a single, less frequent signal (multiplying).

2. How is a divide/multiply clock signal generated?

A divide/multiply clock signal is typically generated using a frequency divider or multiplier circuit, which uses electronic components such as flip-flops or counters to manipulate the original clock signal. The resulting signal is then fed into the output of the circuit, which can be used to drive other electronic devices.

3. What are the applications of divide/multiply clock signals?

Divide/multiply clock signals are commonly used in digital systems, such as computers and communication devices, to synchronize the operation of different components. They are also used in electronic test equipment to generate precise clock signals for testing and analysis purposes.

4. What are the advantages of using a divide/multiply clock signal?

One advantage of using a divide/multiply clock signal is that it allows for different electronic devices to operate at different frequencies while still maintaining synchronization. This can help to reduce power consumption and improve the overall efficiency of the system. Additionally, it allows for more precise control of clock signals, which can be beneficial in applications that require precise timing.

5. Are there any limitations to using a divide/multiply clock signal?

One limitation of using a divide/multiply clock signal is that it can introduce additional noise and jitter into the system, which can affect the overall performance. Careful design and implementation of the circuit can help to minimize these effects. Additionally, divide/multiply clock signals may not be suitable for applications that require high-speed or high-frequency signals, as they may not be able to accurately divide or multiply the clock signal at these rates.

Similar threads

Replies
9
Views
2K
  • Electrical Engineering
Replies
21
Views
4K
Replies
8
Views
1K
Replies
20
Views
1K
  • Other Physics Topics
Replies
5
Views
1K
Replies
37
Views
3K
  • Electrical Engineering
Replies
3
Views
813
Replies
46
Views
3K
  • Special and General Relativity
3
Replies
95
Views
4K
Replies
5
Views
2K
Back
Top